•  
  •  
 

Corresponding Author

Tao Hang(hangtao@sjtu.edu.cn)

Abstract

Cobalt is widely regarded as the most promising interconnect material for 10 nm node and beyond. The development of a chemical mechanical planarization (CMP) slurry suitable for cobalt interconnect is a critical component for the application of cobalt interconnect. During CMP process of the interconnect layer, the achievement of high-quality surface after planarization is greatly challenged by the metal corrosion in CMP slurry. In this contribution, the corrosion behavior of cobalt in a slurry with potassium persulfate (KPS) as an oxidizer, glycine as a complexing agent, and benzotriazole (BTA) as an inhibitor was investigated. Static erosion rates (SER) of cobalt in the slurry at various pH values with and without the inhibitor were examined. The result showed that SER of cobalt increased slightly with increasing pH, whereas BTA clearly inhibited the corrosion of cobalt in the slurry. Scanning electronic Microscopic analysis revealed that BTA could improve the morphology of cobalt surface which was deteriorated due to corrosion in planarization slurry of pH = 9. Electrochemical corrosion measurements were conducted to further investigate the effects of BTA. The potentiodynamic polarization curves indicated that as the BTA concentration increased, the corrosion potential increased, while the corrosion current density decreased. The corrosion of cobalt was effectively inhibited by adding 0.4wt% BTA in the slurry, with an inhibition efficiency of 99.02%. The electrochemical impedance data showed that the Nyquist plots of cobalt contained two rings in the slurry without BTA. The high-frequency ring was formed by cobalt oxide, and the low-frequency ring was formed by double layers. While in the BTA-containing slurry, the Nyquist plots contained only one ring at a high frequency formed by double layers, with a significantly larger diameter than that in the slurry without BTA. It can be concluded that BTA is capable of preventing cobalt from forming an oxide layer, and thereby, reducing electrochemical corrosion. Finally, the X-ray photoelectron spectroscopy was implemented to quantitatively analyze the surface's valence composition of cobalt in various solutions. The results showed that when the KPS was added as an oxidizer, a double-layer of passivation was formed on the surface of cobalt, with a Co2+ rich inner layer and Co3+ rich outer layer. The addition of glycine resulted in the dissolution of the outer layer oxide, reducing the content of Co3+ in the passivation layer. The addition of BTA could suppress the oxidation of Co by KPS, and lowered the Co3+ content on the cobalt surface. It can be demonstrated that the CMP slurry developed in this work effectively inhibited the corrosion of cobalt in an acid solution, which may solve the problem of galvanic corrosion between the cobalt interconnect and barrier layer in CMP process.

Graphical Abstract

Keywords

cobalt interconnects, chemical mechanical planarization, corrosion, glycine, benzotriazole

Publication Date

2022-06-28

Online Available Date

2022-04-24

Revised Date

2021-12-14

Received Date

2021-11-05

References

[1] Davis J A, Venkatesan R, Kaloyeros A, Beylansky M, Souri S J, Banerjee K, Saraswat K C, Rahman A, Reif R, Meindl J D. Interconnect limits on gigascale integration (GSI) in the 21st century[J]. Proc. IEEE, 2001, 89(3): 305-324.
doi: 10.1109/5.915376 URL

[2] Mont F W, Zhang X Y, Wang W, Kelly J J, Standaert T E, Quon R, Ryan E T. Cobalt interconnect on same copper barrier process integration at the 7nm node[C]// Mont F W, 2017 IEEE International Interconnect Technology Conference (IITC), USA: IEEE, 2017.

[3] Wu J, Wafula F, Branagan S, Suzuki H, van Eisden J. Mechanism of cobalt bottom-up filling for advanced node interconnect metallization[J]. J. Electrochem. Soc., 2018, 166(1): D3136-D3141.
doi: 10.1149/2.0161901jes URL

[4] Wafula F, Wu J, Branagan S, Suzuki H, Gracias A, van Eisden J. Electrolytic cobalt fill of sub-5 nm node interconnect features[C]// Wafula F, 2018 IEEE International Interconnect Technology Conference (IITC), USA: IEEE, 2018.

[5] Bekiaris N, Wu Z Y, Ren H, Naik M, Park J H, Lee M, Ha T H, Hou W T, Bakke J R, Gage M. Cobalt fill for advanced interconnects[C]// Bekiaris N, 2017 IEEE International Interconnect Technology Conference (IITC), USA: IEEE, 2017.

[6] Kamineni V, Raymond M, Siddiqui S, Mont F, Tsai S, Niu C, Labonte A, Labelle C, Fan S, Peethala B, Adusumilli P, Patlolla R, Priyadarshini D, Mignot Y, Carr A, Pancharatnam S, Shearer J, Surisetty C, Arnold J, Canaperi D, Haran B, Jagannathan H. Tungsten and cobalt metallization: A material study for MOL local interconnects[C]// Kamineni V, 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC), USA: IEEE, 2016.

[7] Mehrotra V, Sam S L, Boning D, Chandrakasan A, Vallishayee R, Nassif S. A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance[C]// Mehrotra V, Proceedings of the 37th Annual Design Automation Conference, USA: Assoc computing machiner, 2000.

[8] He L, Kahng A B, Tam K H, Xiong J J. Design of integrated-circuit interconnects with accurate modeling of chemical-mechanical planarization[C]// He L, Design and Process Integration for Microelectronic Manufacturing III, USA: Spin-int soc optical engineering, 2005.

[9] Zantye P B, Kumar A, Sikder A K. Chemical mechanical planarization for microelectronics applications[J]. Mater. Sci. Eng. R-Rep., 2004, 45(3-6): 89-220.
doi: 10.1016/j.mser.2004.06.002 URL

[10] Singh R K, Bajaj R. Advances in chemical-mechanical planarization[J]. MRS Bull., 2002, 27(10): 743-751.
doi: 10.1557/mrs2002.244 URL

[11] Xiao Y, Ma Z, Prawoto C, Zhou C, Chan M. Ultralow-dielectric with structured pores for interconnect delay reduction[J]. IEEE Trans. Electron Devices, 2020, 67(5): 2071-2075.
doi: 10.1109/TED.2020.2983230 URL

[12] Popuri R, Sagi K V, Alety S R, Peethala B C, Amanapu H, Patlolla R, Babu S V. Citric acid as a complexing agent in chemical mechanical polishing slurries for cobalt films for interconnect applications[J]. ECS J. Solid State Sci. Technol., 2017, 6(9): P594-P602.
doi: 10.1149/2.0111709jss URL

[13] Tian Q Y, Wang S L, Xiao Y, Wang C W, Wang Q W, Liu F X, Zhang J, Wang R. Effect of amine based chelating agent and H2O2 on cobalt contact chemical mechanical polishing[J]. ECS J. Solid State Sci. Technol., 2018, 7(8): P416-P422.
doi: 10.1149/2.0271808jss URL

[14] Xu A X, Liu W L, Zhao G Y, Feng D H, Wang W L, Song Z T. Investigation of effect of L-aspartic acid and H2O2 for cobalt chemical mechanical polishing[J]. ECS J. Solid State Sci. Technol., 2020, 9(4): 044007.

[15] Kanki T, Kimura T, Nakamura T. Chemical and mechanical properties of Cu surface reaction layers in Cu-CMP to improve planarization[J]. ECS J. Solid State Sci. Technol., 2013, 2(9): P375-P379.
doi: 10.1149/2.023309jss URL

[16] Zhang L F, Wang T Q, Lu X C. Potassium persulfate as an oxidizer in chemical mechanical polishing slurries relevant for copper interconnects with cobalt barrier layers[J]. J. Mater. Sci., 2020, 55(21): 8992-9002.
doi: 10.1007/s10853-020-04579-6 URL

[17] Lee D, Lee H, Jeong H. Slurry components in metal chemical mechanical planarization (CMP) Process: Review[J]. Int. J. Precis. Eng. Manuf., 2016, 17(12): 1751-1762.
doi: 10.1007/s12541-016-0201-y URL

[18] Seo J. A review on chemical and mechanical phenomena at the wafer interface during chemical mechanical planarization[J]. J. Mater. Res., 2021, 36(1): 235-257.
doi: 10.1557/s43578-020-00060-x URL

[19] Jiang L, He Y Y, Li Y, Li Y Z, Luo J B. Synergetic effect of H2O2 and glycine on cobalt CMP in weakly alkaline slurry[J]. Microelectron. Eng., 2014, 122: 82-86.
doi: 10.1016/j.mee.2014.02.002 URL

[20] Lu H S, Zeng X, Wang J X, Chen F, Qu X P. The effect of glycine and benzotriazole on corrosion and polishing properties of cobalt in acid slurry[J]. J. Electrochem. Soc., 2012, 159(9): C383-C387.
doi: 10.1149/2.036209jes URL

[21] Hu L J, Pan G F, Li C, Zhang X B, Liu J, He P, Wang C W. Potassium tartrate as a complexing agent for chemical mechanical polishing of Cu/Co/TaN barrier liner stack in H2O2 based alkaline slurries[J]. Mater. Sci. Semicond. Process, 2020, 108: 104883.
doi: 10.1016/j.mssp.2019.104883 URL

[22] Zhou J K, Wang J C, Niu X H, Zhang K, Wang Z, Cui Y Q, Wang R. Chemical interactions and mechanisms of different pH regulators on copper and cobalt removal rate of copper film CMP for GLSI[J]. ECS J. Solid State Sci. Technol., 2019, 8(2): P99-P105.
doi: 10.1149/2.0101902jss URL

[23] Chivot J, Mendoza L, Mansour C, Pauporté T, Cassir M. New insight in the behaviour of Co-H2O system at 25-150 oC, based on revised pourbaix diagrams[J]. Corrosion Sci., 2008, 50(1): 62-69.
doi: 10.1016/j.corsci.2007.07.002 URL

[24] Ismail K M, Badawy W A. Electrochemical and XPS investigations of cobalt in KOH solutions[J]. J. Appl. Electrochem., 2000, 30(11): 1303-1311.
doi: 10.1023/A:1026560422090 URL

[25] Yin D, Yang L, Niu X H, Ma Y Z, Liu M R, Sun X Q, Gao B H, Tan B M. Theoretical and electrochemical analysis on inhibition effect of benzotriazole and 1,2,4-triazole on cobalt surface[J]. Colloid Surf. A-Physicochem. Eng. Asp., 2020, 591: 124516.
doi: 10.1016/j.colsurfa.2020.124516 URL

[26] Cao C N(曹楚南). Principles of electrochemical of corrosion[M]. Beijing: Chemical Industry Press(化学工业出版社), 2008: 202.

[27] Ryu H Y, Lee C H, Hwang J K, Cho H W, Prasad N Y, Kim T G, Hamada S, Park J G. Characterization of different cobalt surfaces and interactions with benzotriazole for CMP application[J]. ECS J. Solid State Sci. Technol., 2020, 9(6): 064005.
doi: 10.1149/2162-8777/aba331 URL

[28] Ye J H, Tang J J, Zhao Y J, Wu C D. Synthesis and catalytic properties of porous metal silica materials templated and functionalized by extended coordination cages[J]. Inorg. Chem., 2020, 59(1): 767-776.
doi: 10.1021/acs.inorgchem.9b03039 URL

[29] Zhang W M, Yao X Y, Zhou S N, Li X W, Li L, Yu Z, Gu L. ZIF-8/ZIF-67-derived Co-Nx-embedded 1D porous carbon nanofibers with graphitic carbon-encased Co nanoparticles as an efficient bifunctional electrocatalyst[J]. Small, 2018, 14(24): 1800423.
doi: 10.1002/smll.201800423 URL

[30] Wu S M, Li X L, Xu Y, Wu J B, Wang Z P, Han Y D, Zhang X. Hierarchical spinel NixCo1-xFe2O4 microcubes derived from Fe-based MOF for high-sensitive acetone sensor[J]. Ceram. Int., 2018, 44(16): 19390-19396.
doi: 10.1016/j.ceramint.2018.07.170 URL

[31] Du M, Song D, Huang A M, Chen R X, Jin D Q, Rui K, Zhang C, Zhu J X, Huang W. Stereoselectively assembled metal-organic framework (MOF) host for catalytic synthesis of carbon hybrids for alkaline-metal-ion batteries[J]. Angew. Chem. Int. Edit., 2019, 58(16): 5307-5311.
doi: 10.1002/anie.201900240 URL

[32] Ismail K M, Badawy W A. Electrochemical and XPS investigations of cobalt in KOH solutions[J]. J. Appl. Electrochem., 2000, 30(11): 1303-1311.
doi: 10.1023/A:1026560422090 URL

Share

COinS
 
 

To view the content in your browser, please download Adobe Reader or, alternately,
you may Download the file to your hard drive.

NOTE: The latest versions of Adobe Reader do not support viewing PDF files within Firefox on Mac OS and if you are using a modern (Intel) Mac, there is no official plugin for viewing PDF files within the browser window.